Kees Goossens's Publications Page

Skip to Fully functional silicon of a three-core CompSOC platform with network on chip!

Photo of CompSOC by K.G.W. Goossens et al.

Here is a list of my publications, in reverse chronological order. Note that the copyright on some of the files below may not be owned by me, please comply with the owner's conditions. Files are provided to allow browsing of documents, much like in a paper library. Let me know if you have problems retrieving a file.


To get started

A good two-page/executive-level overview of the CompSOC platform and accompanying SDF3 design flow is given
here.
The latest and most comprehensive overview is here.
A flyer can be found here.

Two essential components of our CompSOC platform are described in the following four books:

They are published by Springer in their Embedded Systems Series. For more information click on the images of the books.

  • PhD theses
    1. Monitoring-aware network-on-chip design flow [debug] by Calin Ciordas, TUE, 2008
    2. A predictable and composable on-chip interconnect by Andreas Hansson, TUE, 2009
    3. A predictable and composable system-on-chip memory controllers by Benny Akesson, TUE, 2010
    4. Resource allocation in time-division-multiplexed networks on chip by Radu Stefan, TUD, 2012
    5. Field-programmable gate arrays with hardwired networks on chip by Muhammad Aqeel Wahlah, TUD, 2012
    6. Communication-centric and abstraction-based debugging of system chips by Bart Vermeulen, TUE, 2013.
    7. Better than worst-case design for streaming applications under process variation by Davit Mirzoyan, TUD, 2013
    8. High-Level Power Estimation and Optimization of DRAMs by Karthik Chandrasekar, TUD, 2014
    9. Multithreading for Embedded Reconfigurable Multicore Systems by Pavel Zaykov, TUD, 2014
    10. Composable Virtual Platforms for Mixed-Criticality Embedded Systems by Ashkan Beyranvand Nejad, TUD, 2014
    11. Composable and Predictable Power Management by Andrew Nelson, TUD, 2014
    12. Scalable and bandwidth-efficient memory subsystem design for real-time systems by Manil Dev Gomony, TUE, 2015
    13. A Reconfigurable Mixed-Time-Criticality SDRAM Controller by Sven Goossens, TUE, 2015
    14. Design and Formal Analysis of Real-Time Memory Controllers by Yonghui Li, TUE, 2016
    15. A Model-Based Design Methodology for Time-Driven and Data-Driven Embedded Applications by Gabriela Breaban, TUE, 2018
    16. Dependable Wireless Sensor Networks for In-Vehicle Applications by Rasool Tavakoli, TUE, 2018
    17. A Scenario-Aware Dataflow Programming Model with support for Fault-Tolerance by Reinier van Kampenhout, TUE, 2019
    18. Efficient In-Situ Delay Monitoring for Chip Health Tracking by Hadi Ahmadi Balef, TUE, 2019

    All my publications, in reverse chronological order

    To be precise, theses, books, book chapters, journal articles, and peer-reviewed and invited conference papers. Not included are patent (applications), local conferences, conferences without proceedings, and posters.

      2021
    1. "Run-Time Recovery and Failure Analysis of Time-Triggered Traffic in Time Sensitive Networks"
      Weijiang Kong, Majid Nabi, Kees Goossens.
      In IEEE Access 9, 91710-91722 (2021)
    2. "Analyzable Publish-Subcribe Communication through a Wait-Free FIFO Channel for MPSoC Real-Time Applications"
      Saeid Dehnavi, Dip Goswami, Kees Goossens.
      In IEEE Int'l Symposium on Embedded Multicore/Many-core Systems-on-Chip (MCSoC), 2021
    3. "CompROS: A composable ROS2 based architecture for real-time embedded robotic development"
      Saeid Dehnavi, Martijn Koedam, Andrew Nelson, Dip Goswami, Kees Goossens.
      In IEEE/RSJ International Conference on Intelligent Robots and Systems (IROS), 2021
    4. "A Deployment Framework for Quality-Sensitive Applications in Resource-Constrained Dynamic Environments"
      Shayan Nikkhah, Marc Geilen, Dip Goswami, Martijn Koedam, Andrew Nelson, Kees Goossens.
      In Euromicro Conference on Digital System Design (DSD), 212-220
    5. "Coexistence Analysis of Co-located BLE and IEEE 802.15.4 TSCH Networks"
      Hamideh Hajizadeh, Majid Nabi, Maik Vermeulen, Kees Goossens.
      In IEEE Sensors Journal 21(15):17360-17372.
    6. "Automotive architecture topologies: Analysis for safety-critical autonomous vehicle applications"
      Alessandro Frigerio, Bart Vermeulen, and Kees Goossens.
      In IEEE Access 9: 62837-62846 (2021).
    7. "Interface modeling for quality and resource management"
      Martijn Hendriks, Marc Geilen, Kees Goossens, Rob de Jong, and Twan Basten.
      In Logical Methods in Computer Science (LMCS), May 2021.
    8. "Reducing Library Characterization Time for Cell-Aware Test while Maintaining Test Quality"
      Zhan Gao, Min-Chun Hu, Santosh Malagi, Joe Swenton, Jos Huisken, Kees Goossens, Erik Jan Marinissen.
      In J. of Electronic Testing (JETTA), May 2021.
    9. "Modeling, implementation, and analysis of XRCE-DDS applications in distributed multi-processor real-time embedded systems"
      Saeid Dehnavi, Dip Goswami, Martijn Koedam, Andrew Nelson, and Kees Goossens. In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2021.
    10. "Isolation of redundant and mixed-critical automotive applications: Effects on the system architecture"
      Alessandro Frigerio, Bart Vermeulen, and Kees Goossens.
      In Proc. IEEE Vehicular Technology Conference (VTC), 2021.
    11. "Hardware implementation of iterative projection-aggregation decoding of Reed-Muller Codes"
      Marzieh Hashemipour-Nazari, Kees Goossens, and Alexios Balatsoukas-Stimming.
      In Proc. Conference on Acoustics, Speech and Signal Processing (ICASSP), 2021.

      2020
    12. "Approximated Pareto Analysis for Fast Optimization of Large IEEE 802.15.4 TSCH Networks"
      Hamideh Hajizadeh, Rasool Tavakoli, Majid Nabi, and Kees Goossens.
      In Proc. Int'l Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), 2020.
    13. Scenarios in dataflow modeling and analysis.
      Marc C. W. Geilen, Mladen Skelin, Reinier van Kampenhout, Hadi Alizadeh, Twan Basten, Sander Stuijk, and Kees G.W. Goossens.
      In Nikolaos Zompakis, Marc Geilen, Per Gunnar Kjeldsberg, Francky Catthoor, Twan Basten, editor, System-Scenario-based Design Principles and Applications, pages 145--180. Springer, Cham, 2020.
    14. "A performance analysis framework for real-time systems sharing multiple resources"
      Shayan Tabatabaei Nikkhah, Marc Geilen, Dip Goswami, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2020.
    15. "Parallel implementation of iterative learning controllers on multi-core platforms"
      Mojtaba Haghi, Yusheng Yao, Dip Goswami, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2020.
    16. "A distributed safety mechanism using middleware and hypervisors for autonomousvehicles."
      Tjerk Bijlsma, Andrei Buriachevskyi, Alessandro Frigerio, Yuting Fu, Kees Goossens, Ali Osman Örs, Pieter J. van der Perk, Andrei Terechko, and Bart Vermeulen.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2020.
    17. "Tightening the mesh size of the cell-aware atpg net for catching weakest faults."
      Min-Chun Hu, Zhan Gao, Santosh Malagi, Joe Swenton, Jos Huisken, Kees Goossens, Cheng-Wen Wu, and Erik Jan Marinissen.
      In Proc. European Test Symposium (ETS), 2020.

      2019
    18. "Comparing platform-aware control design flows for composable and predictable TDM-based execution platforms"
      Juan Valencia, Dip Goswami, and Kees Goossens.
      In ACM Transactions on Design Automation of Electronic Systems (TODAES), 2019.
    19. "Model-based processor-in-the-loop framework for composable multi-core platforms"
      Mojtaba Haghi, Martijn Koedam, Dip Goswami, and Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2019.
    20. "Application of cell-aware test on an advanced 3nm technology library"
      Zhan Gao, Min-Chun Hu, Rogier Baert, Bilal Chehab, Joe Swenton, Santosh Malagi, Jos Huisken, Kees Goossens, and Erik Jan Marinissen.
      In Proc. IEEE International Test Conference (ITC), November 2019.
    21. "Optimization of cell-aware ATPG results by manipulating library cells' defect detection matrices"
      Zhan Gao, Min-Chun Hu, Joe Swenton, Santosh Malagi, Jos Huisken, Kees Goossens, and Erik Jan Marinissen.
      In Proc. IEEE International Test Conference in Asia (ITC-Asia), 2019.
    22. "A Scalable and Fast Model for Performance Analysis of IEEE 802.15.4 TSCH Networks"
      Hamideh Hajizadeh, Majid Nabi, Rasool Tavakoli, and Kees Goossens.
      In Proc. Int'l Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), 2019.
    23. "Component-Level ASIL Decomposition for Automotive Architectures"
      Alessandro Frigerio, Bart Vermeulen, and Kees Goossens.
      In Proc. Int'l Conference on Dependable Systems and Networks Workshop (DSN-W), 2019.
    24. "Timing speculation with optimal in-situ monitoring placement and within-cycle error prevention"
      Hadi Ahmadi Balef, Hamed Fatemi, Kees Goossens, and Jos'e Pineda de Gyvez.
      In IEEE Transactions on Very Large Scale Integration Systems (TVLSI).
    25. "Delay-based design of feedforward tracking control for predictable embedded platforms"
      Mojtaba Haghi, Wenguang Feng, Dip Goswami, and Kees Goossens.
      In Proc. of American Control Conference (ACC), 2019.
    26. "Chip health tracking using dynamic in-situ delay monitoring"
      Hadi Ahmadi Balef, Kees Goossens, and Jos'e Pineda de Gyvez.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2019.
    27. "Defect-location identification for cell-aware test"
      Zhan Gao, Santosh Malagi, Erik Jan Marinissen, Joe Swenton, Jos Huisken, and Kees Goossens.
      In Proc. IEEE Latin-American Test Symposium (LATS), March 2019.
    28. "Topology management and TSCH scheduling for low-latency convergecast in in-vehicle WSNS"
      Rasool Tavakoli, Majid Nabi, Twan Basten, and Kees Goossens.
      In IEEE Transactions on Industrial Informatics (TII), 2019.

      2018
    29. "Hybrid Timeslot Design for IEEE 802.15.4 TSCH to Support Heterogeneous WSNs"
      Rasool Tavakoli, Majid Nabi, Twan Basten, and Kees Goossens.
      In Proc. Int'l Symposium on Personal, Indoor and Mobile Radio Communications (PIMRC), September 2018.
    30. "A Generic Method for a Bottom-Up ASIL Decomposition"
      Alessandro Frigerio, Bart Vermeulen, and Kees Goossens.
      In Int'l Conference on Computer Safety, Reliability, & Security, September 2018.
    31. "Fault-Tolerant Deployment of Dataflow Applications Using Virtual Processors"
      Reinier van Kampenhout, Sander Stuijk, and Kees Goossens
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2018.
    32. "Effective In-Situ Chip Health Monitoring with Selective Monitor Insertion Along Timing Paths"
      Hadi Ahmadi Balef, Hamed Fatemi, Jose Pineda de Gyvez, and Kees Goossens.
      Great Lakes Symposium on VLSI (GLSVLSI), May 2018.
    33. "Dependable Interference-Aware Time-Slotted Channel Hopping for Wireless Sensor Networks"
      Rasool Tavakoli, Majid Nabi, Twan Basten, and Kees Goossens.
      ACM Transactions on Sensor Networks (TOSN), 14(1), Jan. 2018.
    34. "A unified programming model for time- and data-driven embedded applications"
      Gabriela Breaban, Sander Stuijk, and Kees Goossens.
      In Int'l on Parallel, Distributed, and Network-Based Processing (PDP), March 2018.
    35. "Guard-time design for symmetric synchronization in IEEE 802.15.4 time-slotted channel hopping"
      Rasool Tavakoli, Majid Nabi, Twan Basten, and Kees Goossens.
      In Proc. IEEE Vehicular Technology Conference (VTC), 2018.

      2017
    36. "Time synchronization for an asynchronous embedded CAN network on a multi-processor system on chip"
      Gabriela Breaban, Martijn Koedam, Sander Stuijk, and Kees Goossens
      In Proc.Int'l Symposium on Precision Clock Synchronization for Measurement, Control, and Communication (ISPCS), September 2017.
    37. "Time synchronization for an emulated CAN device on a multi-processor system on chip"
      Gabriela Breaban, Martijn Koedam, Sander Stuijk, and Kees Goossens.
      Elsevier J. on Microprocessors and Microsystems (MICPRO), 2017.
    38. "NOC-Based Multi-Processor Architecture for Mixed Time-Criticality Applications"
      Kees Goossens, Martijn Koedam, Andrew Nelson, Shubhendu Sinha, Sven Goossens, Yonghui Li, Gabriela Breaban, van Kampenhout, Reinier, Rasool Tavakoli, Juan Valencia, Ahmadi Balef, Hadi, Benny Akesson, Sander Stuijk, Marc Geilen, Dip Goswami, and Majid Nabi.
      In Handbook of Hardware/Software Codesign, Soonhoi Ha and Jurgen Teich (editors), Springer, 2017.
    39. "An analytical model for interdependent setup/hold-time characterization of flip-flops"
      Hadi Ahmadi Balef, Hailong Jiao, Jose Pineda de Gyvez, and Kees Goossens.
      In Int'l Symposium on Quality Electronic Design (ISQED), March 2017.
    40. "Programming and analysing scenario-aware dataflow on a multi-processor platform"
      Reinier van Kampenhout, Sander Stuijk, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2017.
    41. "Efficient Synchronization Methods for LET-based Applications on a Multi-Processor System on Chip"
      Gabriela Breaban, Sander Stuijk, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2017.
    42. "A Globally Arbitrated Memory Tree for Mixed-Time-Criticality Systems"
      Manil Dev Gomony, Jamie Garside, Benny Akesson, Neil Audsley and Kees Goossens.
      In IEEE Transactions on Computers, February 2017.
    43. "A Composable and Predictable MPSoC Design Flow for Multiple Real-Time Applications."
      Seyed-Hosein Attarzadeh-Niaki, Ekrem Altinel, Martijn Koedam, Anca Molnos, Ingo Sander, and Kees Goossens.
      In Anca Molnos and Christian Fabre, editors, Model-Implementation Fidelity in Cyber Physical System Design. Springer, 2017.
      2016
    44. Cloud-based design and virtual prototyping environment for embedded systems
      Stephan Werner, Andreas Lauber, Martijn Koedam, Juergen Becker, Eric Sax, and Kees Goossens.
      In Int'l J. of Online Engineering (IJOE), 12(9):52--60, 2016.
    45. "An experimental study of cross-technology interference in in-vehicle wireless sensor networks "
      Rasool Tavakoli, Majid Nabi, Twan Basten, and Kees Goossens.
      In Proc. Int'l Conf. on Modeling, Analysis and Simulation of Wireless and Mobile Systems (MSWIM), November 2016.
    46. "An embedded can controller for a vehicle networking course project"
      Gabriela Breaban, Martijn Koedam, Jeroen Voeten, and Kees Goossens.
      In Workshop on Embedded Systems Education (WESE), October 2016.
    47. "RTOS Acceleration in an MPSoC with Reconfigurable Hardware"
      Pavel. Zaykov, Georgi Kuzmanov, Anca Molnos, and Kees Goossens.
      IEEE Computers & Electrical Engineering (CEE), July 2016.
    48. "Power/Performance Trade-offs in Real-Time SDRAM Command Scheduling"
      Sven Goossens, Benny Akesson, and Kees Goossens.
      In IEEE Transactions on Computers, June 2016.
    49. "Virtualization and emulation of a CAN device on a multi-processor system on chip"
      Gabriela Breaban, Martijn Koedam, Sander Stuijk, and Kees Goossens. In Mediterranean Conf. on Embedded Computing (MECO), June 2016.
    50. "Modeling and verification of dynamic command scheduling for real-time memory controllers"
      Yonghui Li, Benny Akesson, Kai Lampka, and Kees Goossens.
      In Proc. Real-Time and Embedded Technology and Applications Symposium (RTAS), April 2016.
    51. "Resource utilization and quality-of-control trade-off for a composable platform"
      Juan Valencia, Eelco van Horsen, Dip Goswami, Maurice Heemels, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2016.
    52. "Argo: A real-time network-on-chip architecture with an efficient GALS implementation."
      Evangelia Kasapaki, Rasmus Bo Sorensen, Christoph Muller, Kees Goossens, Martin Schoeberl, and Jens Sparso.
      ACM Transactions on Very Large Scale Integration (TVLSI), Vol 24(2), February 2016.
      2015
    53. "Composable and Predictable Dynamic Loading for Time-Critical Partitioned Systems on Multiprocessor Architectures"
      Shubhendu Sinha, Martijn Koedam, Gabriela Breaban, Andrew Nelson, Ashkan Nejad, Marc Geilen, Kees Goossens
      Elsevier J. on Microprocessors and Microsystems (MICPRO), November 2015.
    54. "Distributed Power Management of Real-time Applications on a GALS Multiprocessor SOC"
      Andrew Nelson and Kees Goossens
      In Proc. Int'l Conference on Embedded software (EMSOFT), October 2015.
    55. "Dataflow Modelling of Real-Time Memory Controllers"
      Yonghui Li, Benny Akesson, Kees Goossens.
      In Proc. Embedded Systems for Real-Time Multimedia (ESTIMedia), October 2015.
    56. "Maximizing the Number of Good Dies for Streaming Applications in NoC-based MPSoCs under Process Variation"
      David Mirzoyan and Benny Akesson and Sander Stuijk and Kees Goossens
      ACM Transactions on Embedded Computing Systems (TECS), September 2015.
    57. "Enhanced Time-Slotted Channel Hopping in WSNs using Non-Intrusive Channel-Quality Estimation"
      Rasool Tavakoli, Majid Nabi, Twan Basten, Kees Goossens
      In Proc. Int'l Conference on Mobile Ad-hoc and Sensor Systems (MASS), October 2015.
    58. "Run-Time Middleware to Support Real-Time System Scenarios"
      Kees Goossens, Martijn Koedam, Shubhendu Sinha, Andrew Nelson, Marc Geilen
      In Proc. European Conference on Circuit Theory and Design (ECCTD), September 2015.
    59. "A Scenario-Aware Dataflow Programming Model"
      Reinier van Kampenhout, Sander Stuijk, and Kees Goossens
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2015.
    60. "Composable Platform-Aware Embedded Control Systems on a Multi-Core Architecture"
      Juan Valencia, Dip Goswami, and Kees Goossens
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2015.
    61. "Architecture and Analysis of a Dynamically-Scheduled Real-Time Memory Controller"
      Yonghui Li, Benny Akesson, and Kees Goossens
      Springer Journal of Real-Time Systems (JRTS), July 2015.
    62. "Designing applications for heterogeneous many-core architectures with the FlexTiles platform."
      Benedikt Jansen, et al.
      In Proc. Int'l Conference on Embedded Computer Systems: Architectures, MOdeling and Simulation (SAMOS), July 2015.
    63. "T-CREST: Time-predictable multi-core architecture for embedded systems"
      Martin Schoeberl et al.
      Elsevier J. on Systems Architecture (JSA), 2015.
    64. "Dataflow formalisation of real-time streaming applications on a composable and predictable multi-processor SOC"
      Andrew Nelson, Kees Goossens, and Benny Akesson.
      Elsevier J. on Systems Architecture (JSA), 2015.
    65. "A Generic, Scalable and Globally Arbitrated Memory Tree for Shared DRAM Access in Real-Time Systems"
      Manil Dev Gomony, Jamie Garside, Benny Akesson, Neil Audsley, and Kees Goossens
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2015.

      2014
    66. "A Real-Time Multi-Channel Memory Controller and Optimal Mapping of Memory Clients to Memory Channels"
      Manil Dev Gomony, Benny Akesson, Kees Goossens.
      ACM Transactions on Embedded Computing Systems (TECS), 14(2), 2014.
    67. "Hardware task-status manager for an RTOS with FIFO communication."
      Pavel G. Zaykov, Georgi Kuzmanov, Anca Molnos, and Kees Goossens.
      In Proc. Int'l Conference on Reconfigurable Computing and FPGAs (ReConFig), December 2014.
    68. "Composable and predictable dynamic loading for time-critical partitioned systems"
      Shubhendu Sinha, Martijn Koedam, Rob van Wijk, Andrew Nelson, Ashkan Beyranvand Nejad, Marc Geilen, Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), September 2014.
    69. "Dynamic command scheduling for real-time memory controllers"
      Yonghui Li, Benny Akesson, and Kees Goossens
      In Proc. Euromicro Conference on Real-Time Systems (ECRTS), July 2014.
    70. "CoMik: A Predictable and Cycle-Accurately Composable Real-Time Microkernel"
      Andrew Nelson, Ashkan Beyranvand Nejad, Anca Molnos, Martijn Koedam, Kees Goossens
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2014.
    71. "Exploiting Expendable Process-Margins in DRAMs for Run-Time Performance Optimizations"
      Karthik Chandrasekar, Sven Goossens, Christian Weis, Martijn Koedam, Benny Akesson, Norbert Wehn, Kees Goossens
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2014.
    72. "Coupling TDM NoC and DRAM Controller for Cost and Performance Optimization of Real-Time Systems"
      Manil Dev Gomony, Benny Akesson, and Kees Goossens
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2014.
    73. "Process-Variation Aware Mapping of Best-Effort and Real-Time Streaming Applications to MPSoCs"
      Davit Mirzoyan, Benny Akesson, Kees Goossens
      ACM Transactions on Embedded Computing Systems (TECS), 13(61), January 2014.
      2013
    74. "Virtual Execution Platforms for Mixed-Time-Criticality Systems: The CompSOC Architecture and Design Flow"
      Kees Goossens, Arnaldo Azevedo, Karthik Chandrasekar, Manil Dev Gomony, Sven Goossens, Martijn Koedam, Yonghui Li, Davit Mirzoyan, Anca Molnos, Ashkan Beyranvand Nejad, Andrew Nelson, and Shubhendu Sinha.
      ACM SIGBED Volume 10(3), Oct 2013.
    75. "A Unified Execution Model for Multiple Computation Models of Streaming Applications on a Composable MPSoC"
      Ashkan Beyranvand Nejad, Anca Molnos, and Kees Goossens.
      Elsevier Journal of Systems Architecture, 2013.
    76. "Throughput analysis and voltage-frequency island partitioning for streaming applications under process variation"
      Davit Mirzoyan, Sander Stuijk, Benny Akesson, and Kees Goossens.
      In Proc. Embedded Systems for Real-Time Multimedia (ESTIMedia), October 2013.
    77. "A reconfigurable real-time SDRAM controller for mixed time-criticality systems"
      Sven Goossens, Jasper Kuijsten, Benny Akesson, and Kees Goossens.
      In Int'l Conf. on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2013.
    78. The CompSOC design flow for virtual execution platforms
      Sven Goossens, Benny Akesson, Ashkan Beyranvand Nejad, Andrew Nelson, Martijn Koedam, and Kees Goossens. Invited paper, in Proc. FPGA World, September 2013.
    79. "Router Designs for an Asynchronous Time-Division-Multiplexed Network-on-Chip"
      Evangelia Kasapaki, Jens Sparso, Rasmus Bo Sorensen, Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), September 2013.
    80. "Run-time slack distribution for real-time data-flow applications on embedded MPSoC"
      Pavel G. Zaykov, Georgi Kuzmanov, Anca Molnos, Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), September 2013.
    81. "A General Framework for Average-Case Performance Analysis of Shared Resources"
      Sahar Foroutan, Benny Akesson, Kees Goossens, Frederic Petrot.
      In Proc. Euromicro Symposium on Digital System Design (DSD), September 2013.
    82. "Hardware/Software platform for QoS Bridging over Multi-Chip NoC-Based Systems"
      Ashkan Beyranvand Nejad, Anca Molnos, Matias Escudero Martinez, and Kees Goossens.
      Elsevier J. on Parallel Computing, Volume 39(9), September 2013.
    83. "A software-based technique enabling composable hierarchical preemptive scheduling for time-triggered applications"
      Ashkan Beyranvand Nejad, Anca Molnos, and Kees Goossens
      In Proc. Int'l Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), August 2013.
    84. "Towards Variation-Aware System-Level Power Estimation of DRAMs: An Empirical Approach"
      Karthik Chandrasekar, Christian Weis, Benny Akesson, Norbert Wehn, Kees Goossens
      In Proc. Design Automation Conference (DAC), June 2013.
    85. "TeMNOT: A Test Methodology for the Non-Intrusive Online Testing of FPGA with Hardwired Network on Chip"
      Aqeel Wahlah and Kees Goossens.
      In Elsevier J. on Microprocessors and Microsystems (MICPRO), May, 2013.
    86. "System and Circuit Level Power Modeling of Energy-Efficient 3D-Stacked Wide I/O DRAMs"
      Karthik Chandrasekar, Christian Weis, Benny Akesson, Norbert Wehn, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2013.
    87. "Architecture and optimal configuration of a real-time multi-channel memory controller"
      Manil Dev Gomony, Benny Akesson, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2013.
    88. "Conservative open-page policy for mixed time-criticality memory controllers"
      Sven Goossens, Benny Akesson, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2013.
    89. "Throughput-constrained voltage and frequency scaling for real-time heterogeneous multiprocessors."
      Pengcheng Huang, Orlando Moreira, Kees Goossens, and Anca Molnos.
      In Proc. Symposium On Applied Computing (SAC), March 2013.

      2012
    90. "Comparative analysis of soft and hard on-chip interconnects for FPGAs"
      Jae Young Hur, Kees Goossens, Lotfi Mhamdi, and Muhammad Wahlah.
      In IET Computers & Digital Techniques, vol 6(1), December 2012.
    91. "Hardware / Software Virtualization for the Reconfigurable Multicore Platform"
      M. Ferger, M. Al Kadi, M. Hubner, M. Koedam, S. Sinha, K. Goossens, G. Marchesan Almeida, J. Rodrigo Azambuja, J. Becker.
      In Proc. Int'l Conference on Embedded and Ubiquitous Computing, December 2012.
    92. "Hardware design and implementation of a network-on-chip based load balancing switch fabric"
      Turhan Karadeniz, Lotfi Mhamdi, Kees Goossens, and J.J. Garcia-Luna-Aceves.
      In Proc. Int'l Conference on Reconfigurable Computing and FPGAs (ReConFig), December 2012.
    93. "Power versus quality trade-offs for adaptive real-time applications"
      Andrew Nelson, Anca Molnos, and Kees Goossens.
      In Proc. Embedded Systems for Real-Time Multimedia (ESTIMedia), October 2012 .
    94. "Embedded computer architecture laboratory: A hands-on experience programming embedded systems with resource and energy constraints"
      Andrew Nelson, Anca Molnos, Ashkan Beyranvand Nejad, Davit Mirzoyan, Sorin Cotofana, and Kees Goossens.
      In Proc. Workshop on Embedded Systems Education (WESE), October 2012 .
    95. "Architecture and Design Flow for a Debug Event Distribution Interconnect"
      Arnaldo Azevedo, Bart Vermeulen and Kees Goossens.
      In Proc. Int'l Conference on Computer Design (ICCD), September 2012 .
    96. "Composable virtual memory for an embedded SoC"
      Cor Meenderinck, Anca Molnos, and Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), September 2012 .
    97. "A predictor-based power-saving policy for DRAM memories"
      Gervin Thomas, Karthik Chandrasekar, Benny Akesson, Ben Juurlink, and Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), September 2012 .
    98. "dAElite: A TDM NoC supporting QoS, multicast, and fast connection set-up"
      Radu Stefan, Anca Molnos, and Kees Goossens.
      In IEEE Transactions on Computers, 2012.
    99. "Towards future adaptive multiprocessor systems-on-chip: an innovative approach for flexible architectures"
      Fabrice Lemonnier, Philippe Millet, Gabriel Marchesan Almeida, Michael Hubner, Jurgen Becker, Sebastien Pillement, Olivier Sentieys, Martijn Koedam, Shubhendu Sinha, Kees Goossens, Christian Piguet, Marc-Nicolas Morgan, and Romain Lemaire.
      In Proc. Int'l Conference on Embedded Computer Systems: Architectures, MOdeling and Simulation (SAMOS), July 2012.
    100. "Run-time power-down strategies for real-time SDRAM memory controllers"
      Karthik Chandrasekar, Benny Akesson, and Kees Goossens.
      In Proc. Design Automation Conference (DAC), June 2012.
    101. "Decoupled inter- and intra-application scheduling for composable and robust embedded MPSoC platforms"
      Anca Molnos, Ashkan Beyranvand Nejad, Ba Thang Nguyen, Sorin Cotofana, and Kees Goossens.
      In Proc. Workshop on Mapping of Applications to MPSoCs (MAP2MPSOC), May 2012.
    102. "Process-variation aware mapping of real-time streaming applications to MPSoCs for improved yield"
      Davit Mirzoyan, Benny Akesson, and Kees Goossens.
      In Proc. Int'l Symposium on Quality Electronic Design (ISQED), March 2012.
    103. "Memory-map selection for firm real-time memory controllers"
      Sven Goossens, Tim Kouters, Benny Akesson, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2012.
    104. "DRAM selection and configuration for real-time mobile systems"
      Manil Dev Gomony, Christian Weis, Benny Akesson, Norbert Wehn, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2012.
    105. "A TDM NoC supporting QoS, multicast, and fast connection set-up"
      Radu Stefan, Anca Molnos, Angelo Ambrose, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), March 2012.
    106. "Customization of on-chip network interconnects and experiments in FPGAs"
      Jae Young Hur, Todor Stefanov, Stephan Wong, and Kees Goossens.
      In IET Computers & Digital Techniques, vol 6(1), Jan 2012.
    107. "Online allocation for contention-free-routing NoCs"
      Radu Stefan and Ashkan Beyranvand Nejad and Kees Goossens.
      In Proc. Interconnection Network Architecture: On-Chip, Multi-Chip (INA-OCMC), Jan 2012.

      2011
    108. "Enhancing the security of time-division-multiplexing networks-on-chip through the use of multipath routing"
      Radu Stefan and Kees Goossens.
      In Proc. Int'l Workshop on Network on Chip Architectures (NOCARC), December 2011.
    109. "Memory controllers for high-performance and real-time MPSoCs --- Requirements, architectures, and future trends"
      Benny Akesson, Po-Chun Huang, Fabien Clermidy, Denis Dutoit, Kees Goossens, Yuan-Hao Chang, Tei-Wei Kuo, Pascal Vivet, and Drew Wingard.
      In Int'l Conf. on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2011.
    110. "Time-predictable and composable architectures for dependable embedded systems"
      Saddek Bensalem, Kees Goossens, Christoph M. Kirsch, Roman Obermaisser, Edward A. Lee, and Joseph Sifakis.
      In Proc. Int'l Conference on Embedded software (EMSOFT), October 2011.
    111. "Memory Controllers for Real-Time Embedded Systems" [springerlink]
      Benny Akesson and Kees Goossens.
      Embedded Systems Series. Springer, September 2011.
      For more information see here.
    112. "Automatic generation of efficient predictable memory patterns"
      Benny Akesson, Williston Hayes Jr., and Kees Goossens.
      In Proc. Int'l Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), August 2011.
    113. "Resource-efficient real-time scheduling using credit-controlled static-priority arbitration"
      Firew Siyoum, Benny Akesson, Sander Stuijk, Kees Goossens, and Henk Corporaal.
      In Proc. Int'l Conference on Embedded and Real-Time Computing Systems and Applications (RTCSA), August 2011.
    114. "Improved power modeling of DDR SDRAMs"
      Karthik Chandrasekar, Benny Akesson, and Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2011.
    115. "Power minimisation for real-time dataflow applications"
      Andrew Nelson, Orlando Moreira, Anca Molnos, Sander Stuijk, Ba Thang Nguyen, and Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2011.
    116. "PUMA: Placement unification with mapping and guaranteed throughput allocation on an FPGA using a hardwired NoC"
      Muhammad Aqeel Wahlah and Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2011.
    117. "A non-intrusive online FPGA test scheme using a hardwired network on chip"
      Muhammad Aqeel Wahlah and Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2011.
    118. "Composable Power Management with Energy and Power Budgets per Application"
      Andrew Nelson, Anca Molnos, and Kees Goossens.
      In Proc. Int'l Conference on Embedded Computer Systems: Architectures, MOdeling and Simulation (SAMOS), July 2011.
    119. "Editorial: Special Issue on Networks-on-Chip: Design Flows and Case Studies"
      Kees Goossens and Radu Marculescu.
      In Springer Journal of Design Automation for Embedded Systems (DAEM), 2011.
    120. "A quantitative evaluation of a network-based interconnect for multi-core consumer multimedia applications"
      Andreas Hansson and Kees Goossens.
      In Springer Journal of Design Automation for Embedded Systems (DAEM), 2011.
    121. "A TDM slot allocation flow based on multipath routing in NoCs"
      Radu Stefan and Kees Goossens.
      In Microprocessors and Microsystems (MICPRO), Elsevier, 2011.
    122. "Design and Implementation of an Operating System for Composable Processor Sharing"
      Andreas Hansson, Marcus Ekerhult, Anca Molnos, Aleksandar Milutinovic, Andrew Nelson, Jude Ambrose, and Kees Goossens.
      In Microprocessors and Microsystems (MICPRO), Elsevier, 2011.
    123. "Interactive debugging of systems on chip with multiple clocks"
      Bart Vermeulen and Kees Goossens.
      In Design and Test of Computers, Special issue on Transaction-Level Validation of Multicore Architectures, May/June 2011.
      Version with colour graphs.
    124. "Architectures and Modeling of Predictable Memory Controllers for Improved System Integration"
      Benny Akesson and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), 2011.
    125. "An FPGA Bridge Preserving Traffic Quality of Service for On-Chip Network-Based Systems"
      Ashkan Beyranvand Nejad, Matias Escudero Martinez and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), 2011.
    126. "Optimal scheduling of switched FlexRay networks"
      Thijs Schenkelaars, Bart Vermeulen, Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), 2011.
    127. "An improved algorithm for slot selection in the Aethereal network-on-chip"
      Radu Stefan and Kees Goossens.
      In Proc. Interconnection Network Architecture: On-Chip, Multi-Chip (INA-OCMC), 2011.

      2010
    128. "Checking pipelined distributed global properties for post silicon debug"
      Erik Larsson, Bart Vermeulen, and Kees Goossens.
      In Proc. Workshop on RTL and high level testing (WRTLT), December 2010.
    129. "Composability and predictability for independent application development, verification, and execution"
      Benny Akesson, Anca Molnos, Andreas Hansson, Jude Ambrose Angelo, and Kees Goossens.
      In Michael Huebner and Juergen Becker, editors, Multiprocessor System-on-Chip --- Hardware Design and Tool Integration, Circuits and Systems, chapter 2. Springer, November 2010.
      [springerlink]
    130. "On-Chip Interconnect with aelite: Composable and Predictable Systems" [springerlink]
      Andreas Hansson and Kees Goossens.
      Embedded Systems Series. Springer, November 2010.
      For more information see here.
    131. "Conservative application-level performance analysis through simulation of MPSoCs"
      Andrew Nelson, Andreas Hansson, Henk Corporaal, Kees Goossens.
      In Proc. Embedded Systems for Real-Time Multimedia (ESTIMedia), October 2010.
    132. "Composable Dynamic Voltage and Frequency Scaling and Power Management for Dataflow Applications"
      Kees Goossens, Dongrui She, Aleksandar Milutinovic, Anca Molnos.
      In Proc. Euromicro Symposium on Digital System Design (DSD), September 2010.
    133. "Classification and Analysis of Predictable Memory Patterns"
      Benny Akesson, Williston Hayes Jr., and Kees Goossens.
      In Proc. Embedded and Real-Time Computing Systems and Applications (RTCSA), August 2010.
    134. "On-chip Network Interfaces supporting automatic burst write creation, posted writes and read prefetch"
      Radu Stefan, Jason de Windt, Kees Goossens.
      In Proc. Int'l Conference on Embedded Computer Systems: Architectures, MOdeling and Simulation (SAMOS), July 2010.
    135. "Bandwidth Analysis for Reusing Functional Interconnect as Test Access Mechanism"
      Ardy van den Berg, Pengwei Ren, Erik Jan Marinissen, Georgi Gaydadjiev, and Kees Goossens.
      In Springer Journal of Electronic Testing, July 2010.
    136. "Obtaining consistent global state dumps to interactively debug systems on chip with multiple clocks"
      Bart Vermeulen and Kees Goossens.
      In Proc. International High Level Design Validation and Test Workshop (HLDVT), June 2010.
    137. "Debugging Multi-Core Systems on Chip"
      Bart Vermeulen, and Kees Goossens.
      In George Kornaros, editor, Multi-Core Embedded Systems, chapter 5, pages 153--198. CRC Press/Taylor & Francis Group, 2010.
      The chapter can be found here. The book can be found here
    138. "The Aethereal Network on Chip after Ten Years: Goals, Evolution, Lessons, and Future"
      Kees Goossens and Andreas Hansson.
      In Proc. Design Automation Conference (DAC), June 2010.
      HiPEAC paper award
    139. "A Composable, Energy-Managed, Real-Time MPSOC Platform"
      Anca Molnos, Jude Angelo Ambrose, Andrew Nelson, Radu Stefan, Sorin Cotofana, Kees Goossens.
      In Proc. Int'l Conference on Optimization of Electrical and Electronic Equipment (OPTIM).
    140. "Distributed architecture for checking global properties during post silicon debug"
      Erik Larsson, Bart Vermeulen, and Kees Goossens.
      In Proc. European Test Symposium (ETS), May 2010.
    141. "Buffered Crossbar Fabrics based on Networks on Chip"
      Lotfi Mhamdi, Iria Varela Senin, and Kees Goossens.
      In Proc. Annual Conference on Communication Networks and Services Research (CNSR), May 2010.
    142. "Composable processor virtualization for embedded systems"
      Anca Molnos, Aleksandar Milutinovic, Dongrui She, and Kees Goossens.
      In Proc. Workshop on Computer Architecture and Operating System Co-Design (CAOS), Lecture Notes in Computer Science (LNCS). Springer, January 2010.

      2009
    143. "Composable and persistent-state application swapping on FPGAs using hardwired network on chip"
      Muhammad Aqeel Wahlah, and Kees Goossens.
      In Proc. Int'l Conference on Reconfigurable Computing and FPGAs (ReConFig), December 2009.
    144. "Efficient multicast support in buffered crossbars using networks on chip"
      Iria Varela Senin, Lotfi Mhamdi, and Kees Goossens.
      In Proc. Global Telecommunications Conference, December 2009.
    145. "An on-chip interconnect and protocol stack for multiple communication paradigms and programming models"
      Andreas Hansson and Kees Goossens.
      In Int'l Conf. on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2009.
    146. "Dynamic Workload Peak Detection For Slack Management"
      Aleksandar Milutinovic, Kees Goossens, and Gerard Smit.
      In Proc. Int'l Symposium on Systems on Chip (SoC), October 2009.
    147. "Multi-Path Routing in Time-Division-Multiplexed Networks on Chip"
      Radu Stefan and Kees Goossens.
      In Proc. IFIP Int'l Conference on Very Large Scale Integration (VLSI-SoC), October 2009.
    148. "Efficient Service Allocation in Hardware Using Credit-Controlled Static-Priority Arbitration"
      Benny Akesson, Liesbeth Steffens, and Kees Goossens.
      In Proc. Embedded and Real-Time Computing Systems and Applications (RTCSA), August 2009.
    149. "Conservative dynamic energy management for real-time dataflow applications mapped on multiple processors"
      Anca Molnos and Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2009.
    150. "Composable resource sharing based on latency-rate servers"
      Benny Akesson, Andreas Hansson, and Kees Goossens.
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2009.
    151. "Internet-router buffered crossbars based on networks on chip"
      Kees Goossens, Lotfi Mhamdi, and Iria Varela Senin.
      In Proc. Euromicro Symposium on Digital System Design (DSD), August 2009.
    152. "A network-on-chip monitoring infrastructure for communication-centric debug of embedded multi-processor SoCs"
      Bart Vermeulen and Kees Goossens.
      In Proc. Int'l Symposium on VLSI Design, Automation and Test (VLSI-DAT), 2009.
    153. "Modeling reconfiguration in a FPGA with a hardwired network on chip"
      Muhammad Aqeel Wahlah and Kees Goossens.
      In Proc. Reconfigurable Architecture Workshop (RAW), 2009.
    154. "aelite: A Flit-Synchronous Network on Chip with Composable and Predictable Services"
      Andreas Hansson, Mahesh Subbaraman, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), April 2009.
    155. "A High-Level Debug Environment for Communication-Centric Debug"
      Kees Goossens, Bart Vermeulen, Ashkan Beyranvand Nejad.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), April 2009.
    156. "Editorial: Networks on Chips"
      Davide Bertozzi and Kees Goossens.
      In IET Computers & Digital Techniques, 2009.
    157. "Enabling Application-Level Performance Guarantees in Network-Based Systems on Chip by Applying Dataflow Analysis"
      Andreas Hansson, Maarten Wiggers, Arno Moonen, Kees Goossens, Marco Bekooij.
      In IET Computers & Digital Techniques, 2009.
    158. "CoMPSoC: A template for composable and predictable multi-processor system on chips"
      Andreas Hansson, Kees Goossens, Marco Bekooij, and Jos Huisken.
      ACM Transactions on Design Automation of Electronic Systems, 2009.

      2008
    159. "You can catch more bugs with transaction level honey"
      Miron Abramovici, Neal Stollon, Kees Goossens, Bart Vermeulen, Jack Greenbaum, and Adam Donlin.
      In Int'l Conf. on Hardware/Software Codesign and System Synthesis (CODES+ISSS), 2008.
    160. "Performance analysis of soft and hard single-hop and multi-hop circuit-switched interconnects for FPGAs"
      Jae Young Hur, Kees Goossens, and Lotfi Mhamdi.
      In Proc. IFIP Int'l Conference on Very Large Scale Integration (VLSI-SoC), October 2008.
    161. "Impact of power-management granularity on the energy-quality trade-off for soft and hard real-time applications"
      Aleksandar Milutinovic, Kees Goossens, and Gerard Smit.
      In Proc. Int'l Symposium on Systems on Chip (SoC), October 2008.
    162. "Real-time scheduling using credit-controlled static-priority arbitration"
      Benny Akesson, Liesbeth Steffens, Eelke Strooisma, and Kees Goossens.
      In Proc. Embedded and Real-Time Computing Systems and Applications (RTCSA), August 2008.
    163. "Bandwidth Analysis for Reusing Functional Interconnect as Test Access Mechanism"
      Ardy van den Berg, Pengwei Ren, Erik Jan Marinissen, Georgi Gaydadjiev, and Kees Goossens.
      In Proc. European Test Symposium (ETS), May 2008.
    164. "Hardwired Networks on Chip in FPGAs to unify Data and Configuration Interconnects"
      Kees Goossens, Martijn Bennebroek, Jae Young Hur, and Muhammad Aqeel Wahlah.
      In Proc. Int'l Symposium on Networks on Chip (NOCS), April 2008.
    165. "Debugging Distributed-Shared-Memory Communication at Multiple Granularities in Networks on Chip"
      Bart Vermeulen, Kees Goossens, and Siddharth Umrani.
      In Proc. Int'l Symposium on Networks on Chip (NOCS), April 2008.
    166. "A monitoring-aware network-on-chip design flow"
      Calin Ciordas, Andreas Hansson, Kees Goossens, and Twan Basten.
      In Journal of Systems Architecture, March-April 2008.
    167. "Trade offs in the design of a router with both guaranteed and best-effort services for networks on chip"
      E. Rijpkema, K. G. W. Goossens, A. Radulescu, J. Dielissen, J. van Meerbergen, P. Wielage, and E. Waterlander.
      In Rudy Lauwerijns and Jan Madsen, editors, Design Automation, and Test in Europe. The Most Influential Papers of 10 Years DATE, Circuits and Systems, Chapter 2 (Networks on Chip). Springer, January 2008.
      DATE version; extended CDT journal version;
      2007
    168. "Comparison of an Aethereal network on chip and traditional interconnects - two case studies"
      Arno Moonen, Chris Bartels, Marco Bekooij, Rene van den Berg, Harpreet Bhullar, Kees Goossens, Patrick Groeneveld, Jos Huiskens, and Jef van Meerbergen.
      In Giovanni De Micheli, Salvador Mir, and Ricardo Reis, editors, VLSI-SoC: Research Trends in VLSI and Systems on Chip, number 249 in IFIP International Federation for Information Processing. Springer, 2007.
    169. "Predator: A predictable SDRAM memory controller"
      Benny Akesson, Kees Goossens, and Markus Ringhofer.
      In Int'l Conf. on Hardware/Software Codesign and System Synthesis (CODES+ISSS), October 2007.
    170. "Channel trees: Reducing latency by sharing time slots in time-multiplexed networks on chip"
      Andreas Hansson, Martijn Coenen, and Kees Goossens.
      In Int'l Conf. on Hardware/Software Codesign and System Synthesis (CODES+ISSS), October 2007.
    171. "The Future of Computing - Essays in Memory of Stamatis Vassiliadis"
      Koen Bertels, Sorin Cotofana, Georgi N. Gaydadjiev, Kees Goossens, Said Hamdioui, Arjan van Genderen, and Stephan Wong, editors.
      September 2007.
    172. "Wrapper design for the reuse of a bus, network-on-chip, or other functional interconnect as test access mechanism"
      Alexandre M. Amory, Kees Goossens, Erik Jan Marinissen, Marcelo Lubaszewski, and Fernando Moraes.
      In IET Computers & Digital Techniques, 2007.
    173. "A unified approach to mapping and routing on a network on chip for both best-effort and guaranteed service traffic"
      Andreas Hansson, Kees Goossens, and Andrei Radulescu.
      In VLSI Design - Special issue on Networks-on-Chip, Hindawi Publishing Corporation, 2007.
    174. "Avoiding message-dependent deadlock in network-based systems on chip"
      Andreas Hansson, Kees Goossens, and Andrei Radulescu.
      In VLSI Design - Special issue on Networks-on-Chip, Hindawi Publishing Corporation, 2007.
    175. "Trade-offs in the configuration of a network on chip for multiple use-cases"
      Andreas Hansson and Kees Goossens.
      In Proc. Int'l Symposium on Networks on Chip (NOCS), May 2007.
    176. "Transaction-based communication-centric debug"
      Kees Goossens, Bart Vermeulen, Remco van Steeden, and Martijn Bennebroek.
      In Proc. Intl Symposium on Networks on Chip (NOCS), May 2007.
    177. "Communication-centric SOC debug using transactions"
      Bart Vermeulen, Kees Goossens, Remco van Steeden, and Martijn Bennebroek.
      In Proc. European Test Symposium (ETS), May 2007.
    178. "Undisrupted quality-of-service during reconfiguration of multiple applications in networks on chip"
      Andreas Hansson, Martijn Coenen, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), April 2007.
    179. "Congestion-controlled best-effort communication for networks-on-chip"
      Jan Willem van den Brand, Calin Ciordas, Twan Basten, and Kees Goossens.
      In Proc. Design, Automation and Test in Europe Conference and Exhibition (DATE), April 2007.

      2006
    180. "A buffer-sizing Algorithm for Networks on Chip using TDMA and credit-based end-to-end Flow Control"
      Martijn Coenen, Srinivasan Murali, Andrei Radulescu, Kees Goossens, and Giovanni De Micheli.
      In Int'l Conf. on Hardware/Software Codesign and System Synthesis (CODES+ISSS), October 2006.
      Second most-cited CODES+ISSS 2006 article
    181. "Transaction Monitoring in Networks on Chip: The On-Chip Run-Time Perspective" Calin Ciordas, Kees Goossens, Twan Basten, Andrei Radulescu, Andre Boon.
      In Proc. Symposium on Industrial Embedded Systems (IES), October 2006.
      Best paper award
    182. "Comparison of An Aethereal Network on Chip and A Traditional Interconnect for A Multi-Processor DVB-T System on Chip"
      Chris Bartels, Jos Huisken, Kees Goossens, Patrick Groeneveld, and Jef van Meerbergen.
      In Proc. IFIP Int'l Conference on Very Large Scale Integration (VLSI-SoC), October 2006.
    183. "A monitoring-aware NoC design flow"
      Calin Ciordas, Andreas Hansson, Kees Goossens, and Twan Basten.
      In Proc. Euromicro Symposium on Digital System Design, August 2006.
    184. "Network and transport layers in networks on chip"
      Israel Cidon and Kees Goossens
      In Giovanni De Micheli and Luca Benini, editors, Networks on Chips: Technology and Tools, The Morgan Kaufmann Series in Systems on Silicon, chapter 5, pages 147--202. Morgan Kaufmann, July 2006.
    185. "Mixed adaptation and fixed-reservation QoS for improving Picture Quality and Resource Usage of Multimedia (NoC) Chips"
      Milan Pastrnak, Peter H.N. de With, Calin Ciordas, Jef van Meerbergen, Kees Goossens
      In Proc. Int'l Symposium on Consumer Electronics (ISCE), Jun 2006.
    186. "Sixth International Conference on Applications of Concurrency to System Design (ACSD)"
      Kees Goossens and Laure Petrucci, editors.
      Turku, Finland, June 2006.
    187. "Wrapper Design for the Reuse of Networks-on-Chip as Test Access Mechanism"
      Alexandre M. Amory, Kees Goossens, Erik Jan Marinissen, Marcelo Lubaszewski, Fernando Moraes
      In Proc. European Test Symposium (ETS), May 2006.
    188. "NoC monitoring: Impact on the design flow"
      Calin Ciordas, Kees Goossens, Andrei Radulescu, Twan Basten
      In Proc. Int'l Symposium on Circuits and Systems (ISCAS), May 2006.
    189. "Networks on Chips for High-End Consumer-Electronics TV System Architectures"
      Frits Steenhof and Harry Duque and Bjorn Nilsson and Kees Goossens and Rafael Peset Llopis
      In Proc. of Design, Automation and Test Conference in Europe, March 2006.
    190. "A Methodology for Mapping Multiple Use-Cases on to Networks on Chip"
      Srinivasan Murali, Martijn Coenen, Andrei Radulescu, Kees Goossens, and Giovanni De Micheli
      In Proc. of Design, Automation and Test Conference in Europe, March 2006.
    191. "Mapping and Configuration Methods for Multi-Use-Case Networks on Chips"
      Srinivasan Murali, Martijn Coenen, Andrei Radulescu, Kees Goossens, and Giovanni De Micheli
      in Proc. Design Automation Conference. Asia and South Pacific (ASP-DAC), January 2006.

      2005
    192. "An Event-based Monitoring Service for Networks on Chip"
      Calin Ciordas, Twan Basten, Andrei Radulescu, Kees Goossens, and Jef van Meerbergen.
      ACM Transactions on Design Automation of Electronic Systems, 10(4), Oct 2005.
    193. "The Aethereal network on chip: Concepts, architectures, and implementations"
      Kees Goossens, John Dielissen, and Andrei Radulescu.
      IEEE Design and Test of Computers, Vol 22(5):414--421, Sept-Oct 2005.
    194. "A Unified Approach to Constrained Mapping and Routing on Network-on-Chip Architectures"
      Andreas Hansson, Kees Goossens, and Andrei Radulescu.
      In Int'l Conference on Hardware/Software Codesign and System Synthesis (CODES+ISSS), Sep 2005.
      Best paper award, and most-cited CODES+ISSS 2005 article
    195. "Formal Methods for Networks on Chip"
      Kees Goossens.
      In Proc. Int'l Conference on Application of Concurrency to System Design (ACSD), Jun 2005.
    196. "Deadlock Prevention in the AEthereal Protocol" (longer technical note)
      Biniam Gebremichael, Frits Vaandrager, Miaomiao Zhang, Kees Goossens, Edwin Rijpkema, and Andrei Radulescu.
      In Proc. Working Conference on Correct Hardware Design and Verification Methods (CHARME), Oct 2005.
    197. "Building Predictable Systems on Chip: An Analysis of Guaranteed Communication in the AEthereal Network on Chip"
      Om Prakash Gangwal, Andrei Radulescu, Kees Goossens and Santiago Gonzalez Pestana, and Edwin Rijpkema.
      In Peter van der Stok, editor, Dynamic and Robust Streaming In and Between Connected Consumer-Electronics Devices. Springer, 2005.
    198. "Service-Based Design of Systems on Chip and Networks on Chip"
      Kees Goossens, Santiago Gonzalez Pestana, John Dielissen, Om Prakash Gangwal, Jef van Meerbergen, Andrei Radulescu, Edwin Rijpkema, and Paul Wielage.
      In Peter van der Stok, editor, Dynamic and Robust Streaming In And Between Connected Consumer-Electronics Devices. Springer, 2005.
    199. "A Design Flow for Application-Specific Networks on Chip with Guaranteed Performance to Accelerate SOC Design and Verification"
      Kees Goossens, John Dielissen, Om Prakash Gangwal, Santiago Gonzalez Pestana, Andrei Radulescu, and Edwin Rijpkema.
      Proceedings of Design, Automation and Test Conference in Europe, March 2005.
    200. "An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Programming"
      Andrei Radulescu, John Dielissen, Santiago Gonzalez Pestana, Om Gangwal, Edwin Rijpkema, Paul Wielage, and Kees Goossens.
      IEEE Transactions on CAD of Integrated Circuits and Systems, 24(1), January 2005.

      2004
    201. "An Event-based Network-on-Chip Monitoring Service"
      Calin Ciordas, Twan Basten, Andrei Radulescu, Kees Goossens, and Jef van Meerbergen.
      International High Level Design Validation and Test Workshop (HLDVT), November 2004.
    202. "An Efficient On-Chip Network Interface Offering Guaranteed Services, Shared-Memory Abstraction, and Flexible Network Programming"
      Andrei Radulescu, John Dielissen, Kees Goossens, Edwin Rijpkema, and Paul Wielage.
      Proceedings of Design, Automation and Test Conference in Europe, February 2004.
    203. "Cost-Performance Trade-offs in Networks on Chip: A Simulation-Based Approach"
      Santiago Gonzalez Pestana, Edwin Rijpkema, Andrei Radulescu, Kees Goossens, Om Prakash Gangwal.
      Proceedings of Design, Automation and Test Conference in Europe, February 2004.
    204. "Interconnect and Memory Organization in SOCs for advanced Set-Top Boxes and TV --- Evolution, Analysis, and Trends"
      Kees Goossens, Om Prakash Gangwal, Jens Roever, A. P. Niranjan.
      In Interconnect-Centric Design for Advanced SoC and NoC, Jari Nurmi, Hannu Tenhunen, Jouni Isoaho, Axel Jantsch, editors. Kluwer, April, 2004.
    205. "Communication Services for Networks on Chip"
      Andrei Radulescu and Kees Goossens.
      In Domain-Specific Processors: Systems, Architectures, Modeling, and Simulation, Shuvra Bhattacharyya and Ed Deprettere and Juergen Teich, editors. Marcel Dekker, 2004.

      2003
    206. "Concepts and Implementation of the Philips Network-on-Chip"
      John Dielissen, Andrei Radulescu, Kees Goossens, and Edwin Rijpkema.
      IP-Based SOC Design, November, 2003.
    207. "Bringing Communication Networks On Chip: Test and Verification Implications"
      Bart Vermeulen and John Dielissen and Kees Goossens and Calin Ciordas.
      IEEE Communications Magazine, September, 2003.
    208. "Trade-Offs in the Design of a Router with Both Guaranteed and Best-Effort Services for Networks on Chip"
      E. Rijpkema and K. Goossens and A. Radulescu, J. Dielissen and van Meerbergen, J. and P. Wielage and E. Waterlander.
      IEE Proceedings: Computers and Digital Techniques, September 2003.
    209. "Guaranteeing the quality of services in networks on chip"
      Kees Goossens, John Dielissen, Jef van Meerbergen, Peter Poplavko, Andrei Radulescu, Edwin Rijpkema, Erwin Waterlander, and Paul Wielage.
      In Networks on Chip, Axel Jantsch and Hannu Tenhunen, editors. Kluwer, March 2003.
    210. "Trade offs in the design of a router with both guaranteed and best-effort services for networks on chip"
      E. Rijpkema, K. G. W. Goossens, A. Radulescu, J. Dielissen, J. van Meerbergen, P. Wielage, and E. Waterlander.
      In Proceedings of Design, Automation and Test Conference in Europe (DATE), March 2003.
      Selected as one of the 30 most influential papers of 10 years of DATE
      2002
    211. "Networks on Silicon: Blessing or Nightmare?"
      Paul Wielage and Kees Goossens.
      In Euromicro Symposium On Digital System Design (DSD 2002), Dortmund, Germany, September 2002.
    212. "C-HEAP: A Heterogeneous Multi-processor Architecture Template and Scalable and Flexible Protocol for the Design of Embedded Signal Processing Systems"
      Andre Nieuwland, Jeffrey Kang, Om Prakash Gangwal, Ramanathan Sethuraman, Natalino Busa, Kees Goossens, Rafael Peset Llopis, and Paul Lippens.
      In Design automation for Embedded Systems, Vol 7(3): 229--266, 2002, Kluwer.
    213. "The Cost of Communication Protocols and Coordination Languages in Embedded Systems"
      K.G.W. Goossens and O.P. Gangwal.
      In Coordination'2002, April, 2002.
    214. "Networks on Silicon: Combining Best-Effort And Guaranteed Services"
      K. Goossens, J. van Meerbergen, A. Peeters, and P. Wielage.
      In Design automation and test conference (DATE), March, 2002.

      2001
    215. "A Router Architecture for Networks on Silicon"
      Edwin Rijpkema, Kees Goossens, and Paul Wielage.
      In Progress 2001, second workshop on embedded systems, November, 2001
    216. "Towards a model for making a trade-off between QoS and costs"
      Lodewijk T. Smit, Gerard J.M. Smit, Paul J.M. Havinga, Jos A. Huisken, Kees G.W. Goossens, and John T.M.H. Dielissen.
      In CTIT workshop, Mobile Communications: in perspective, February, 2001
    217. "A Protocol And Memory Manager For On-Chip Communication"
      K. G. W. Goossens.
      In International Symposium on Circuits and Systems, May 2001.

      1998
    218. "The Petrol Approach to High-Level Power Estimation"
      R. Peset Llopis and K. G. W. Goossens.
      In International Symposium on Low Power Electronics and Design (ISLPED), August 1998.

      1995
    219. "Reasoning About VHDL Using Operational and Observational Semantics"
      K. G. W. Goossens.
      In Correct Hardware Design Methodologies (CHARME), March 1995.

    220. "The Formalisation of a Hardware Description Language in a Proof System: Motivation and Applications"
      K. G. W. Goossens.
      In Proceedings of the XIII Conference of the Brazilian Computer Society, September 1993.
    221. "Structure and Behaviour in Hardware Verification"
      K. G. W. Goossens.
      In Higher Order Logic Theorem Proving and Its Applications (HOL), August 1993.
    222. "Embedding Hardware Description Languages in Proof Systems"
      K. G. W. Goossens.
      PhD thesis, May 1993.
      pdf [original format] abstract DOI
      1992
    223. "Operational Semantics Based Formal Symbolic Simulation"
      K. G. W. Goossens.
      In Higher Order Logic Theorem Proving and Its Applications (HOL), September 1992.

      1991
    224. "Embedding a CHDDL in a Proof System"
      K. G. W. Goossens.
      In Advanced Research Workshop on Correct Hardware Design Methodologies (CHARME), June 1991.

    Public Technical Notes

    1. "Fraud-resistant computerised examinations."
      Kees Goossens and Martijn Koedam.
      Electronic Systems Report ESR-2015-03, Eindhoven University of Technology, November 2015.
    2. "Evaluation of Certainty-Based Marking and Learning using Oncourse/Moodle"
      Kees Goossens.
      Electronic Systems Report ESR-2015-04, Eindhoven University of Technology, November 2015.
    3. "Linking Papyrus UML Modeling Framework to SDF3"
      Gabriela Breaban, Sander Stuijk, and Kees Goossens.
      Electronic Systems Report ESR-2016-03, Eindhoven University of Technology, 2016.
    4. "Comparison of custom topology networks against rigid interconnects"
      Radu Stefan, Ioannis Sourdis, Georgi Gaydadjiev, and Kees Goossens.
      Technical Report CE-TR-2008-01, Computer Engineering, Delft University of Technology, February 2008.
    5. "Reasoning About VHDL Using Operational and Observational Semantics"
      K. G. W. Goossens.
      DSI technical report 95-06, April 1995. DSI technical report, extended version of 1995-charme.pdf
    6. "Structure and Behaviour in Hardware Verification"
      K. G. W. Goossens.
      LFCS report 93-273, June 1993. An extended version of 1993-hol.pdf
    7. "The Formalisation of a Hardware Description Language in a Proof System: Motivation and Applications"
      K. G. W. Goossens.
      LFCS report 93-269, June 1993.
    8. "Operational Semantics Based Formal Symbolic Simulation"
      K. G. W. Goossens.
      LFCS report 92-231, September 1992.
    9. "Embedding a CHDDL in a Proof System"
      K. G. W. Goossens.
      LFCS report 91-155, May 1991.

    Press coverage


    top frame